CORDIS - EU research results
CORDIS

Ultrapure Water Technology - nanoparticle free water for the advanced nanoelectronics industry enabling further miniaturization of electronic devices

Article Category

Article available in the following languages:

The ultra-clean water making a splash in the semiconductor industry

Microchip miniaturisation is accompanied by shrinking distances between conductive threads – now less than 10 nm – making it easier for tiny particles lodged between them to create shorts. EU-funded equipment presents a unique, eco-friendly way to get rid of these contaminants.

Industrial Technologies icon Industrial Technologies

Nanoelectronics technologies have advanced at mind-boggling speed, facilitating ever-closer packing of components on chips and enormous memory capacity. However, this has increased the risk of impurities reducing yield rate and thus profitability. Chips processed in high-tech clean rooms are each rinsed about 100 times during manufacturing. The European semiconductor manufacturer NXP (formerly Philips) requires about 30 million l/day. Advanced processes to produce ultra-pure water (UPW) have difficulty removing particles smaller than 20 nm, let alone less than 10. As chips decrease in size, these nanoparticles become more problematic. Their presence increases the likelihood that chips are scrapped, incurring significant waste of natural resources, time and money. Thus, improving water purity while reducing energy and water consumption, raw material waste and cost is critical to continued chip miniaturisation and European industry competitiveness. The EU-funded ULTRAWAT project has a solution that is the culmination of decades-long work to address these challenges.

Pure genius

Forty years ago, the Swedish company Scarab developed a pilot plant for desalination using its patented water treatment technology. Surprisingly, the water was extraordinarily pure. It seemed destined for a starring role in the semiconductor industry whose increasingly dense packing suggested a coming need. Ambitious research and development efforts began at Sandia National Laboratories in the United States after which Scarab and its spin-off Xzero began focusing on UPW for microchip manufacturing. Since 2010, Xzero’s demonstration unit in Stockholm has been removing pharmaceutical residues from municipal wastewater, purifying flue gas condensate from power plants and more. Horizon 2020 funding of ULTRAWAT enabled the complete redesign of core equipment, enhancing efficiency and lowering costs. Miriam Åslin, CEO of Xzero and ULTRAWAT project coordinator, explains: “Current state-of-the-art UPW production systems use about 10 steps. Xzero’s LastRinse equipment uses two, including our proprietary process to remove all non-volatiles by vaporising and condensing the water molecules while leaving the rest in the feed water. In addition, it uses waste heat instead of electricity to power the separation process.” This simpler and more efficient process enabled the development of a compact on-demand point-of-use system, minimising contamination risks, and simplifying maintenance and repair. Testing is in progress and commercial systems should be hitting the market in 2023.

A circular mini-economy

ULTRAWAT exceeded all expectations with their integrated wastewater treatment and resource recovery system to isolate all contaminants. Circular Water Technologies AB will offer the zero liquid discharge circular water treatment system for the semiconductor industry. In cooperation with imec, Xzero also initiated the ‘Resource Recovery’ project aimed at recovering valuable components like rare earth metals from semiconductor wastewater. Finally, given the obstacles associated with COVID-19, a small system was developed to send to interested potential customers. It will be sold as lab equipment by Type1water AB. LastRinse can be integrated into existing water purification plants without disturbance or downtime or implemented in new ones. Aapo Sääsk, chairman of the Board of Xzero, concludes: “LastRinse is the only system to completely remove sub-20 nm nanoparticles in UPW, an absolute necessity for future profitable advances in nanoelectronics. Xzero will be a critical enabler for growth in the European nanoelectronics industry, freeing it from dependence on American and Asian manufacturers and driving home-grown innovation.” For Xzero - the movie, see the video.

Keywords

ULTRAWAT, water, Xzero, ultra-pure water (UPW), nanoelectronics, chip, semiconductor, LastRinse, wastewater, microchip, nanoparticle

Discover other articles in the same domain of application